# Editar o código-fonte VHDL gedit .vhdl # Compilar o código-fonte VHDL ghdl -a .vhdl # Se não há erros de compilação, gerar a simulação do teste ghdl -e ghdl -r --vcd=.vcd # visualizar a simulação no GTKWave gtkwave .vcd # Por exemplo, se o arquivo VHDL é "circuito.vhdl" e a seção de teste # que consta no arquivo tem como nome "teste", # teríamos: # gedit circuito.vhdl ghdl -a circuito.vhdl ghdl -e teste ghdl -r teste --vcd=teste.vcd gtkwave teste.vcd