Biblioteca VHDL para Circuitos Digitais -
Packages VHDL para uso em Projetos de Circuitos Digitais
 Todos Classes Namespaces Variáveis
clocks.vhdl

Exemplo de uso de componentes CLOCK e PULSO de SET/RESET.

Para compilar e visualizar a simulação, use o procedimento de compilação, acrescentando a opção '-P/home/html/inf/nicolui/grad/ci068/geral/VHDL/lib' em cada comando 'ghdl'

1 -------------------------------------------------------------
2 -- PACKAGE ci068.clocks
3 -- Exemplo de uso de componentes CLOCK e PULSO de SET/RESET
4 -------------------------------------------------------------
5 library IEEE;
6 use IEEE.std_logic_1164.all;
7 --
8 library ci068;
9 use ci068.clocks.all;
10 
11 entity teste is
12 end teste;
13 
14 architecture simulacao of teste is
15  signal clk1, clk2, clk3, clk4, r1, r2, s1, s2 : std_logic;
16 
17 begin
18  rel1 : clock port map (100 ns, clk1); -- Relógio de período 100ns, saída em clk1
19 
20  rel2 : clock port map (75 ns, clk2); -- Relógio de período 75ns, saída em clk2
21 
22  rel3 : gclock generic map (DutyCycle =>30) port map (100 ns, clk3); -- Relógio de período 100ns,
23  -- 30% duty cycle, saída em clk3
24  rel4 : gclock port map (100 ns, clk4); -- Relógio de período 100ns,
25  -- 50% duty cycle, saída em clk4
26 
27  rst1 : pulso port map (50 ns, 20 ns, r1); -- Pulso centrado na primeira subida
28  -- do relógio 'rel1', com duração de 20ns
29 
30  rst2 : pulso port map (37.5 ns, 30 ns, r2); -- Pulso centrado na primeira subida
31  -- do relógio 'rel2', com duração de 30ns
32 
33  -- Exemplo de uso da saída dos relógios
34  s1 <= clk1 xor clk2;
35  s2 <= clk3 and clk2;
36 end simulacao;